Elsevier

Microelectronic Engineering

Volume 105, May 2013, Pages 124-129
Microelectronic Engineering

Identification and quantification of FOUP molecular contaminants inducing defects in integrated circuits manufacturing

https://doi.org/10.1016/j.mee.2012.04.008Get rights and content

Abstract

In the semiconductor industry, the control of contaminants is mandatory in order to prevent their detrimental impact on manufacturing yield. More specifically, it has been found that molecular contaminants coming from FOUPs could lead to defects on wafer. This paper presents two cases related with defects induced by molecular contamination, namely crystal growth and corrosion issues, respectively along two process sequences: (1) copper interconnect patterning and (2) Ionic Implantation of N-type or P-type dopants before Spacer Deposition. Three main ionic contaminants have been identified: HF, CH3COOH, HCOOH with levels varying from few ppbv to few tens of ppbv. In the first process sequence, dry Etching step has been identified as the source of contamination. In the second process sequence CF4 dry Stripping step generates HF. On the other hand, dry Stripping step and Implantation step generate CH3COOH, HCOOH. Organic contaminants have been characterized showing that the FOUP atmosphere represents a contaminated environment (about few tens of ppbv for total organics). A specific organic composition has been identified for in-process FOUPs but has not been related to a process or defects.

Introduction

In integrated circuit manufacturing, airborne molecular contamination is able to cause various defects on wafers, e.g.:

  • Haze on optics and/or photomasks due to NH3, HCl and organic contaminants [1],

  • Corrosion of interconnects (Cu, Al) due to volatile acids [2], [4], [7],

  • Crystal growth on metal surfaces due to F contaminants [3].

These defects lead to significant yield loss problems [3], [4]. The contamination can occur by cross-contamination from Front Opening Unified Pod (FOUP) outgassing as illustrated in Fig. 1. Indeed, contaminants can be outgassed from just processed wafers in the FOUP atmosphere and then sorbed by the FOUP polymers. After wafers removing, reverse outgassing from FOUP materials to FOUP atmosphere can occur in order to reach concentration balance. This can also lead to contaminant transfer to new wafers. So, the contaminants can be transferred from air to FOUP, and from FOUP to wafers. This cross-contamination chain has been demonstrated for both volatile acids [3], [4], [5], [7] and organic molecules [6].

In this work, two process sequences leading to defects on wafers have been investigated:

(1) Cu interconnect patterning (cf. Fig. 2a):

... → via dry Etching (Ar, CH2F2, N2, CF4 plasma) including in situ Resist via Stripping (O2, CO)  wet cleaning (diluted HF)  via filling with Resist  Line Etching (Ar, C4F8, CF4, N2, CO, O2)  wet cleaning (diluted HF)  ...

(2) Ionic Implantation of N-type or P-type dopants before Spacer Deposition (cf Fig. 2b):

... → Ionic Implantation  Resist dry Stripping (O2, N2 with or without CF4)  wet Stripping (NH4OH, H2O2, H2SO4, HF)  SiN Spacer Deposition  Spacer Etching  ...

In the first sequence, TiFx crystal growth on the TiN hard-mask could occur during queue time in FOUP after Line Etching step (Fig. 2a). It lead to the bad conformity of Cu deposition and the formation of plate-block defects. In the second one, Poly-Si corrosion is seen after Spacer Etching step and depends on queue time before Spacer Deposition (Fig. 2b). Consequently, the yield decreases in both process sequences. A correlation is observed between the total acid in FOUP atmosphere and defects. If there are no acid contaminants in FOUP air, no defect will be identified [3].

The purposes of this paper are to identify the molecular contaminants nature, to quantify their levels inside the FOUP and to determine their sources along the two process flows mentioned previously. Then, the occurrence mechanisms of molecular contaminants will be proposed depending on their identified sources.

Section snippets

Experimental

To characterize FOUP molecular contaminants, we took out FOUPs along production process flows. FOUPs were named by the last process performed on the wafers and by their storage time in FOUP (t1; cf. Fig.1). The FOUP atmosphere after wafers removing was then analysed with specific techniques as follows.

The total acids concentration was monitored with an Adixen Pod Analyser (APA) based on an Ion Mobility Spectrometer (IMS). Samplings were carried out at a pumped air flow of 0.7 L/min during 2 min

Organic contaminants

Since organic outgassing behaviour is quite similar for the two studied process flows, only one is detailed hereafter.

Fig. 3 summarizes the total organic concentrations in the atmosphere of several FOUPs along the Implantation process flow for different wafer storage times (t1) and 17 h (t2) after their removing from FOUPs. The total organic levels in a new, cleaned or in-process FOUPs are higher than the one of the clean room air. It means that the FOUP atmosphere represents a contaminated

Conclusion

In this paper, the characterization of molecular contaminants inside FOUPs was addressed along two different process flows presenting defectivity issues. Results confirm the molecular cross-contamination chain: contaminants from air are transferred to FOUP and subsequently from FOUP to wafers. If no volatile acid is detected in FOUP atmosphere, no defect will be present on wafer.

The FOUP atmosphere is characterized as a significantly contaminated environment by organic compounds (few tens of

References (10)

  • S.J. Han

    The International Society for Optical Engineering

    (2005)
  • B.J. Wu

    IEEE Transactions

    (2010)
  • A. Lagha

    Procceding of SPCC

    (2007)
  • T. Kamoshima

    ECS Transactions

    (2008)
  • H. Fontaine

    Solid State Phenomena

    (2008)
There are more references available in the full text version of this article.

Cited by (22)

  • Flow characteristics and velocity fields of a front opening unified pod at open door condition

    2020, Case Studies in Thermal Engineering
    Citation Excerpt :

    Molecular contamination in the front opening unified pod must be minimized to reduce wafer defects [1].

  • Adsorption and desorption kinetics of airborne ammonia on chromium-coated wafer in cleanroom depending on humidity and NH<inf>3</inf> concentration

    2020, Microelectronic Engineering
    Citation Excerpt :

    Unavoidable existence of the airborne molecular contaminants (AMCs) could dramatically cause damage to normal functionality of integrated circuits [1–5].

  • Comparison of HF and HCl cross-contamination between different ENTEGRIS FOUP platforms and Cu-coated wafers

    2017, Microelectronic Engineering
    Citation Excerpt :

    P. Gonzalez et al. [11] compared the HF contamination and subsequent release ability of different FOUPs in order to assess the different FOUP polymers in terms of potential cross-contamination risks on AlCu wafers. This work will therefore focus on the hydrogen halides HF and HCl (hereafter referred to as HX) since these volatile acids are responsible for severe detrimental issues during IC manufacturing such as the corrosion of Cu, Al and poly-Si or TiX4 crystal growth on TiN layers [4,12]. Thus, the major purpose of this work is the assessment of three FOUP models made of different polymeric compounds in terms of potential (no wafer exposure-empty FOUP) and effective (wafer exposure) cross-contamination risks to wafers in order to address the most suitable storage and handling solution (FOUP polymers) to reduce and prevent HF/HCl related wafer defects.

  • Air curtain application in a purged unified pod

    2017, Applied Thermal Engineering
    Citation Excerpt :

    In the past two decades, due to the dramatic reduction in chip size, maintaining a cleaning level on a silicon wafer is much more rigorous and of importance to the semiconductor manufacturing industry [1]. The possible contaminations during the fabrication processes or storage of wafer in a container (such as the front-opening unified-pod, FOUP) are particle, oxygen, moisture, and airborne molecular contamination, which might lead to reduction in yield and performance deterioration of the device [2,3]. In addition, moisture and oxygen cause Cu oxidation and damage during the wait of the wafer in the FOUP between the processes of the all-in-one etching and wet cleaning [4,5].

  • A new method for sampling low-volume AMCs in a front opening unified pod

    2016, Microelectronic Engineering
    Citation Excerpt :

    Along with the miniaturization of wire diameters, the self-outgassing of the wafer and cross contamination between the wafer and FOUP have gradually become major sources of contaminants, which compromise the yield. In light of this, designs and research related to queue time control during FOUP purging have focused on the impacts of FOUP purging on reducing defects and improving yield [4]. Wafers are known to be sensitive to the surrounding environment, so solving the wafer outgassing issue is a major challenge.

View all citing articles on Scopus
View full text